Paso 13: Agregar restricciones
21. expanda la carpeta de "Limitaciones", en la pestaña "fuentes". Haga clic derecho y seleccionar "Agregar fuentes" (imagen 13)
22. Seleccione "Añadir o crear restricciones" y pulse siguiente.
23. Presione "Agregar archivos" y vaya a donde está guardado el archivo "Nexys4_Master.xdc". Si necesita este archivo, descargalo de http://www.digilentinc.com/Products/Detail.cfm?NavPath=2, 400, 1184 & Prod = NEXYS4
24. Pulse aceptar y terminar, asegúrese de que está activada la casilla copiar el archivo a su proyecto local.
25. Abra el archivo de restricciones, y descomentar las líneas: 8,9,10, 59,60, 194 y 195
26. modificar los nombres de puerto en esas propiedades, para que coincida con los nombres de puerto en el diagrama de bloque
set_property PACKAGE_PIN E3 [get_ports clock_rtl] set_property IOSTANDARD LVCMOS33 [get_ports clock_rtl] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clock_rtl]
set_property PACKAGE_PIN P4 [get_ports reset_rtl_0 ] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0 ]
set_property PACKAGE_PIN E16 [get_ports reset_rtl] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
27. Asegúrese de guardar el archivo .xdc actualizados (presiona Ctrl-S)