Paso 7: Crear archivo de XDC
Se implementó el circuito pero las herramientas de Xilinx todavía necesitan saber qué pines físicos en la FPGA la entrada y puertos de salida se asignan a. El archivo XDC le dará las herramientas para obtener esta información. Por favor, elija su tabla en el menú desplegable para ver el archivo XDC correspondiente a la placa, como ubicación de pin de LD0 y SW0 varía de tablero.
1 set_property PACKAGE_PIN U9 [get_ports {sw}]
2 set_property IOSTANDARD LVCMOS33 [get_ports {sw}]
3 set_property PACKAGE_PIN T8 [get_ports {led}]
4 set_property IOSTANDARD LVCMOS33 [get_ports {led}]
Usted puede encontrar la ubicación necesita del esquema de la placa de la FPGA, o puedes descargar XDC principal para la tabla de la Página Web de Digilent y copiar las líneas correspondientes para este paso.
Recuerde que el archivo XDC conecta la señal que usted describe en su archivo .v con el conector físico en el tablero. Si la señal se denomina sw en el archivo .v, entonces el pin físico debe llamarse sw en el archivo .xdc.