Paso 5: Actualizar las limitaciones y las salidas de módulo superior
En orden de la señal llegar al puerto USB de la 3 Basys el xdc archivo debe actualizarse con las siguientes líneas agregó:
set_property PACKAGE_PIN A18 [get_ports tx] set_property IOSTANDARD LVCMOS33 [get_ports tx]
Esto indica a la red tx llamado a pin A18 en la FPGA. Para llevar este cable en el módulo superior la línea salida tx también debe agregarse a la lista de I/O.
Si está utilizando el archivo .xdc principal para los 3 Basys solo descomentar las líneas 283 y 284.